cl_syoscb_compare.svh

Go to the documentation of this file.
00001 class cl_syoscb_compare extends uvm_component;
00002 
00003    // Handle to the actual compare algorithm to be used
00004    cl_syoscb_compare_base compare_algo;
00005 
00006    `uvm_component_utils_begin(cl_syoscb_compare)
00007      `uvm_field_object(compare_algo, UVM_DEFAULT)
00008    `uvm_component_utils_end
00009 
00010    extern function new(string name, uvm_component parent);
00011    extern function bit compare();
00012 endclass : cl_syoscb_compare
00013 
00014 
00015 function cl_syoscb_compare::new(string name, uvm_component parent);
00016    super.new(name, parent);
00017    this.compare_algo = cl_syoscb_compare_base::type_id::create("compare_algo");
00018 endfunction : new
00019 
00020 function bit cl_syoscb_compare::compare();
00021    return(this.compare_algo.compare());
00022 endfunction : compare
 All Classes Namespaces Files Functions Variables

Project: SyoSil ApS UVM Scoreboard, Revision: 1.0.0.0
Copyright 2014 SyoSil ApS
All Rights Reserved Worldwide

Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. You may obtain a copy of the License at

http://www.apache.org/licenses/LICENSE-2.0

Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language governing permissions and limitations under the License.
doxygen
Doxygen Version: 1.6.1
IDV SV Filter Version: 2.6.2
Thu Oct 30 05:34:49 2014
Find a documentation bug? Report bugs to: bugs.intelligentdv.com Project: DoxygenFilterSV