cl_syoscb_item.svh

Go to the documentation of this file.
00001 class cl_syoscb_item extends uvm_object;
00002 
00003    // TBD: MD5 Checksum
00004    string producer;
00005    uvm_sequence_item item;
00006 
00007    `uvm_object_utils_begin(cl_syoscb_item)
00008      `uvm_field_string(producer, UVM_DEFAULT)
00009      `uvm_field_object(item, UVM_DEFAULT)
00010    `uvm_object_utils_end
00011 
00012    extern function new(string name = "cl_syoscb_item");
00013    extern function string get_producer();
00014    extern function void set_producer(string producer);
00015    extern function uvm_sequence_item get_item();
00016    extern function void set_item(uvm_sequence_item);
00017 
00018 endclass : cl_syoscb_item
00019 
00020 function cl_syoscb_item::new(string name = "cl_syoscb_item");
00021    super.new(name);
00022 endfunction : new     
00023 
00024 function string cl_syoscb_item::get_producer();
00025    return(this.producer);
00026 endfunction: get_producer
00027 
00028 function void cl_syoscb_item::set_producer(string producer);
00029       // TBD: Check that it is a valid producer
00030    this.producer = producer;
00031 endfunction: set_producer
00032 
00033 function uvm_sequence_item cl_syoscb_item::get_item();
00034    return(this.item);
00035 endfunction: get_item
00036 
00037 function void cl_syoscb_item::set_item(uvm_sequence_item item);
00038    this.item = item;
00039 endfunction: set_item
00040 
 All Classes Namespaces Files Functions Variables

Project: SyoSil ApS UVM Scoreboard, Revision: 1.0.0.1
Copyright 2014 SyoSil ApS
All Rights Reserved Worldwide

Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. You may obtain a copy of the License at

http://www.apache.org/licenses/LICENSE-2.0

Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language governing permissions and limitations under the License.
doxygen
Doxygen Version: 1.6.1
IDV SV Filter Version: 2.6.2
Mon Nov 24 09:24:22 2014
Find a documentation bug? Report bugs to: bugs.intelligentdv.com Project: DoxygenFilterSV