00001 class cl_syoscb_compare_base extends uvm_object; 00002 `uvm_object_utils(cl_syoscb_compare_base) 00003 00004 // TBD: Field macros? 00005 cl_syoscb_cfg cfg; 00006 00007 extern function new(string name = "cl_syoscb_compare_base"); 00008 00009 // TBD: Here the abstract compare API must be enforced 00010 // TBD: The definition of the return bit for both compare and compare_do 00011 // must be defined, e.g. status or progress. Currently it is undefined 00012 virtual function bit compare(); 00013 `uvm_fatal("IMPL_ERROR", $sformatf("cl_syoscb_compare_base::compare() *MUST* be overwritten")); 00014 return(1'b0); 00015 endfunction 00016 00017 virtual function bit compare_do(); 00018 `uvm_fatal("IMPL_ERROR", $sformatf("cl_syoscb_compare_base::compare_do() *MUST* be overwritten")); 00019 return(1'b0); 00020 endfunction 00021 00022 extern function void set_cfg(cl_syoscb_cfg cfg); 00023 extern function cl_syoscb_cfg get_cfg(); 00024 extern function string get_primary_queue_name(); 00025 endclass: cl_syoscb_compare_base 00026 00027 function cl_syoscb_compare_base::new(string name = "cl_syoscb_compare_base"); 00028 super.new(name); 00029 endfunction : new 00030 00031 // TBD: Replace by UVM cfg DB lookup? 00032 function void cl_syoscb_compare_base::set_cfg(cl_syoscb_cfg cfg); 00033 this.cfg = cfg; 00034 endfunction : set_cfg 00035 00036 function cl_syoscb_cfg cl_syoscb_compare_base::get_cfg(); 00037 return(this.cfg); 00038 endfunction : get_cfg 00039 00040 function string cl_syoscb_compare_base::get_primary_queue_name(); 00041 cl_syoscb_cfg ch = this.get_cfg(); 00042 00043 return(ch.primary_queue); 00044 endfunction: get_primary_queue_name
|
Project: SyoSil ApS UVM Scoreboard, Revision: 1.0.0.2 |
Copyright 2014 SyoSil ApS All Rights Reserved Worldwide Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language governing permissions and limitations under the License. |
![]() Doxygen Version: 1.6.1 IDV SV Filter Version: 2.6.2 Wed Feb 25 04:01:40 2015 |