cl_syoscb_compare_ooo.svh

00001 // Class which implements the out of order compare algorithm
00002 class cl_syoscb_compare_ooo extends cl_syoscb_compare_base;
00003   //-------------------------------------
00004   // UVM Macros
00005   //-------------------------------------
00006   `uvm_object_utils(cl_syoscb_compare_ooo)
00007 
00008   //-------------------------------------
00009   // Constructor
00010   //-------------------------------------
00011   extern function new(string name = "cl_syoscb_compare_ooo");
00012 
00013   //-------------------------------------
00014   // Compare API
00015   //-------------------------------------
00016   extern virtual function void compare();
00017   extern function void compare_do();
00018 endclass: cl_syoscb_compare_ooo
00019 
00020 function cl_syoscb_compare_ooo::new(string name = "cl_syoscb_compare_ooo");
00021   super.new(name);
00022 endfunction: new
00023 
00024 /// <b>Compare API</b>: Mandatory overwriting of the base class' compare method.
00025 /// Currently, this just calls do_copy() blindly 
00026 function void cl_syoscb_compare_ooo::compare();
00027   // Here any state variables should be queried
00028   // to compute if the compare should be done or not
00029   this.compare_do();
00030 endfunction: compare
00031 
00032 /// <b>Compare API</b>: Mandatory overwriting of the base class' do_compare method.
00033 /// Here the actual out of order compare is implemented.
00034 ///
00035 /// The algorithm gets the primary queue and then loops over all other queues to see if
00036 /// it can find primary item as any item in all of the other queues. If so then the items
00037 /// are removed from all queues. If not then nothing is done. Thus, if some items are not
00038 /// matched then the result is that the queue will be non-empty at the end of simulation.
00039 /// This will then be caught by the check_phase.
00040 function void cl_syoscb_compare_ooo::compare_do();
00041   string primary_queue_name;
00042   cl_syoscb_queue primary_queue;
00043   cl_syoscb_queue_iterator_base primary_queue_iter;
00044   string queue_names[];
00045   int unsigned secondary_item_found[string];
00046   bit compare_continue = 1'b1;
00047   bit compare_result = 1'b0;
00048   cl_syoscb_item primary_item;
00049 
00050   // Initialize state variables
00051   primary_queue_name = this.get_primary_queue_name();
00052   this.cfg.get_queues(queue_names);
00053 
00054   primary_queue = this.cfg.get_queue(primary_queue_name);
00055   if(primary_queue == null) begin
00056     `uvm_fatal("QUEUE_ERROR", "Unable to retrieve primary queue handle");
00057   end
00058 
00059   primary_queue_iter = primary_queue.create_iterator();
00060 
00061   `uvm_info("DEBUG", $sformatf("cmp-ooo: primary queue: %s", primary_queue_name), UVM_FULL);
00062   `uvm_info("DEBUG", $sformatf("cmp-ooo: number of queues: %0d", queue_names.size()), UVM_FULL);
00063 
00064   // Outer loop loops through all
00065   while (!primary_queue_iter.is_done()) begin
00066     primary_item = primary_queue_iter.get_item();
00067 
00068     // Clear list of found slave items before starting new inner loop
00069     secondary_item_found.delete();
00070 
00071     // Inner loop through all queues
00072     foreach(queue_names[i]) begin
00073       `uvm_info("DEBUG", $sformatf("Looking at queue: %s", queue_names[i]), UVM_FULL);
00074 
00075       if(queue_names[i] != primary_queue_name) begin
00076         cl_syoscb_queue secondary_queue;
00077         cl_syoscb_queue_iterator_base secondary_queue_iter;
00078 
00079         `uvm_info("DEBUG", $sformatf("%s is a secondary queue - now comparing", queue_names[i]), UVM_FULL);
00080 
00081         // Get the secondary queue
00082         secondary_queue = this.cfg.get_queue(queue_names[i]);
00083 
00084         if(secondary_queue == null) begin
00085           `uvm_fatal("QUEUE_ERROR", "Unable to retrieve secondary queue handle");
00086         end
00087 
00088         // Get an iterator for the secondary queue
00089         secondary_queue_iter = secondary_queue.create_iterator();
00090 
00091         // Only the first match is removed
00092         while(!secondary_queue_iter.is_done()) begin
00093           // Get the item from the secondary queue
00094           cl_syoscb_item sih = secondary_queue_iter.get_item();
00095 
00096           if(sih.compare(primary_item) == 1'b1) begin
00097             secondary_item_found[queue_names[i]] = secondary_queue_iter.get_idx();
00098             `uvm_info("DEBUG", $sformatf("Secondary item found at index: %0d", secondary_queue_iter.get_idx()), UVM_FULL);
00099             break;
00100           end
00101           if(!secondary_queue_iter.next()) begin
00102             `uvm_fatal("QUEUE_ERROR", $sformatf("Unable to get next element from iterator on secondary queue: %s", queue_names[i]));
00103           end    
00104         end
00105         if(!secondary_queue.delete_iterator(secondary_queue_iter)) begin
00106           `uvm_fatal("QUEUE_ERROR", $sformatf("Unable to delete iterator from secondaery queue: %s", queue_names[i]));
00107         end
00108       end else begin
00109         `uvm_info("DEBUG", $sformatf("%s is the primary queue - skipping", queue_names[i]), UVM_FULL);
00110       end
00111     end
00112 
00113     // Only start to remove items if all slave items are found (One from each slave queue)
00114     if(secondary_item_found.size() == queue_names.size()-1) begin
00115       string queue_name;
00116       cl_syoscb_item pih;
00117 
00118       // Get the item from the primary queue
00119       pih = primary_queue_iter.get_item();
00120 
00121       `uvm_info("DEBUG", $sformatf("Found match for primary queue item :\n%s",
00122                                    pih.sprint()), UVM_FULL);
00123 
00124       // Remove from primary
00125       if(!primary_queue.delete_item(primary_queue_iter.get_idx())) begin
00126         `uvm_error("QUEUE_ERROR", $sformatf("Unable to delete item idx %0d from queue %s",
00127                                             primary_queue_iter.get_idx(), primary_queue.get_name()));
00128       end
00129 
00130       // Remove from all secondaries
00131       while(secondary_item_found.next(queue_name)) begin
00132         cl_syoscb_queue secondary_queue;
00133 
00134         // Get the secondary queue
00135         secondary_queue = this.cfg.get_queue(queue_name);
00136 
00137         if(secondary_queue == null) begin
00138           `uvm_fatal("QUEUE_ERROR", "Unable to retrieve secondary queue handle");
00139         end
00140 
00141         if(!secondary_queue.delete_item(secondary_item_found[queue_name])) begin
00142           `uvm_error("QUEUE_ERROR", $sformatf("Unable to delete item idx %0d from queue %s",
00143                                               secondary_item_found[queue_name], secondary_queue.get_name()));
00144         end
00145       end
00146     end
00147 
00148     // Call .next() blindly since we do not care about the
00149     // return value, since we might be at the end of the queue.
00150     // Thus, .next() will return 1'b0 at the end of the queue
00151     void'(primary_queue_iter.next());
00152   end
00153 
00154   if(!primary_queue.delete_iterator(primary_queue_iter)) begin
00155     `uvm_fatal("QUEUE_ERROR", $sformatf("Unable to delete iterator from primary queue: %s", primary_queue_name));
00156   end
00157 endfunction: compare_do
 All Classes Functions Variables

Project: SyoSil ApS UVM Scoreboard, Revision: 1.0.2.1

Copyright 2014-2015 SyoSil ApS
All Rights Reserved Worldwide

Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. You may obtain a copy of the License at

http://www.apache.org/licenses/LICENSE-2.0

Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language governing permissions and limitations under the License.
doxygen
Doxygen Version: 1.6.1
IDV SV Filter Version: 2.6.2
Thu Jun 4 23:02:22 2015
Find a documentation bug? Report bugs to: bugs.intelligentdv.com Project: DoxygenFilterSV